Nanometrics Incorporated

Nanometrics Incorporated

1550 Buckeye Drive Milpitas, CA 95035 USA

Integrated|Metrology System|for Critical Dimension

Integrated|Metrology System|for Critical Dimension

OCD & Film Analysis System

With increasing complexity of process window management, device makers are adopting sophisticated automated process control (APC) strategies of semiconductor unit operations. In order to manage tighter wafer-to-wafer and within-wafer uniformity tolerances, integrated metrology systems are in increasing use across various modules including chemical mechanical polishing (CMP), etch, deposition, and lithography. The advanced capability and high sampling provided by integrated systems enable fast feedback control and closed loop feed forward control across many types of process equipment, leading to better yields, manufacturability and equipment utilization.

The IMPULSE+ extends Nanometrics’ integrated metrology platform with significant enhancements to performance and productivity, and is ideally suited to meet the demands for CMP and etch process control. Many customers have traditionally relied on thin film measurements for chemical mechanical polish (CMP) control, and are now migrating to OCD to provide better correlation to device performance. Device makers are additionally controlling critical etch parameters for effective etch process feedback resulting in adoption of integrated etch metrology solutions. Based on a common platform derived from industry leading Atlas OCD platform and best-in-class deep ultraviolet (DUV) optics, IMPULSE+ offers maximum sensitivity and accuracy to CMP and etch process excursions and enables device makers to establish APC control solutions with high-accuracy feedback to these processes. With SpectraProbe and NanoDiffract analysis solutions now enabling direct measurements within device and active areas, users are now able to monitor minor process excursions and optimize their processes for higher yields.

The IMPULSE+ works in conjunction with the Atlas, Trajectory T3, Spectraprobe and NanoDiffract analysis solutions, enabling cross-module process optimization and comprehensive fab-wide process control. The IMPULSE+ system are widely adopted across key steps in DRAM, 3D-NAND, CMOS image sensor, and foundry/logic device manufacturing.

Product Enquiry

SSL Secure Connection